added a parenthesis to remove a warning

This commit is contained in:
Enno Rehling 2011-03-03 15:46:55 +01:00
parent 258b33e639
commit a11d49b406
1 changed files with 1 additions and 1 deletions

View File

@ -271,7 +271,7 @@ paint_map(window * wnd, const state * st)
attr |= A_REVERSE; attr |= A_REVERSE;
} }
} }
if (mr->r && mr->r->flags & RF_MAPPER_HIGHLIGHT) hl = 1; if (mr->r && (mr->r->flags & RF_MAPPER_HIGHLIGHT)) hl = 1;
mvwaddch(win, yp, xp, mr_tile(mr, hl) | attr); mvwaddch(win, yp, xp, mr_tile(mr, hl) | attr);
} }
} }